2014-12-08 5 views
0

다음은 http://www.edaplayground.com/x/96SALDEC의 Riviera-PRO EDU 2014.10 도구의 FCOVER의 기능성 보고서

에 적용된 다음 명령을 기반으로 EDA 놀이터에서 Riviera-PRO EDU 2014.10 도구를 사용하여 생성 된 기능 영역 보고서 (cov.txt)입니다.

vsim + access + r; run -all; acdb save acdb report -db fcover.acdb -txt -o cov.txt;

정의 된 커버 그룹 및 커버 포인트를 기반으로 커버리지 수치 계산을 분석 할 수있는 문서를 제공하는 것이 좋습니다.

+++++++++++++++++++++++++++++++++++ 
++++++++++ REPORT INFO ++++++++++ 
+++++++++++++++++++++++++++++++++++ 


================================================= 
| User    | runner     | 
| Host    | eb37055383a7    | 
|--------------------|--------------------------| 
| Tool    | Riviera-PRO 2014.10  | 
|--------------------|--------------------------| 
| Report file  | /home/runner/cov.txt  | 
| Report date  | 2014-12-08 06:47   | 
| Report arguments |       | 
|--------------------|--------------------------| 
| Input file   | /home/runner/fcover.acdb | 
| Input file date | 2014-12-08 06:47   | 
|--------------------|--------------------------| 
| Test name (status) | fcover (Ok)    | 
================================================= 


++++++++++++++++++++++++++++++++++++++++++++++++ 
++++++++++   HIERARCHY   ++++++++++ 
++++++++++++++++++++++++++++++++++++++++++++++++ 


CUMULATIVE SUMMARY 
===================================================== 
| Coverage type  | Weight |  Hits/Total  | 
===================================================== 
| Covergroup Coverage |  1 | 29.861%/100.000% | 
|---------------------|--------|--------------------| 
| Types    |  |    0/2 | 
===================================================== 
CUMULATIVE INSTANCE-BASED COVERAGE: 29.861% 
FILES: 2 COVERED INSTANCES: 0/1 


INSTANCE - /alutest : work.alutest 


    SUMMARY 
    ============================================================================ 
    | Coverage type  | Weight | Local Hits/Total | Recursive Hits/Total | 
    ============================================================================ 
    | Covergroup Coverage |  1 | 29.861%/100.000% | 29.861%/100.000% | 
    |---------------------|--------|--------------------|----------------------| 
    | Types    |  |    0/2 |    0/2 | 
    ============================================================================ 
    WEIGHTED AVERAGE LOCAL: 29.861% 
    WEIGHTED AVERAGE RECURSIVE: 29.861% 


    COVERGROUP COVERAGE 
    ====================================================================== 
    | Covergroup      | Hits | Goal/| Status | 
    |         |   | At Least |   | 
    ====================================================================== 
    | TYPE alutest.op_cov    | 6.250% | 100.000% | Uncovered | 
    ====================================================================== 
    | TYPE alutest.zeros_or_ones_on_ops | 53.472% | 100.000% | Uncovered | 
    ====================================================================== 


++++++++++++++++++++++++++++++++++++++++++++++++ 
++++++++++  DESIGN UNITS  ++++++++++ 
++++++++++++++++++++++++++++++++++++++++++++++++ 


CUMULATIVE SUMMARY 
===================================================== 
| Coverage type  | Weight |  Hits/Total  | 
===================================================== 
| Covergroup Coverage |  1 | 29.861%/100.000% | 
|---------------------|--------|--------------------| 
| Types    |  |    0/2 | 
===================================================== 
CUMULATIVE DESIGN-BASED COVERAGE: 29.861% 
FILES: 2 COVERED DESIGN UNITS: 0/1 


MODULE - work.alutest 


    SUMMARY 
    ===================================================== 
    | Coverage type  | Weight |  Hits/Total  | 
    ===================================================== 
    | Covergroup Coverage |  1 | 29.861%/100.000% | 
    |---------------------|--------|--------------------| 
    | Types    |  |    0/2 | 
    ===================================================== 
    WEIGHTED AVERAGE: 29.861% 


    COVERGROUP COVERAGE 
    ====================================================================== 
    | Covergroup      | Hits | Goal/| Status | 
    |         |   | At Least |   | 
    ====================================================================== 
    | TYPE alutest.op_cov    | 6.250% | 100.000% | Uncovered | 
    ====================================================================== 
    | TYPE alutest.zeros_or_ones_on_ops | 53.472% | 100.000% | Uncovered | 
    ====================================================================== 

답변

0

IEEE 1800-2012 SystemVerilog LRM에는 커버 그룹 및 커버 포인트를 지정하는 방법이 설명되어 있습니다. 섹션 19. 기능 적용을 참조하십시오.

개요를 보려면 Google search on Functional Coverage을 사용하면 많은 리소스가 필요합니다.

자세한 질문이 있으면 새 StackOverflow 질문을하십시오.