2016-09-30 6 views
1

긴 게시물을 보내려 죄송합니다. 우리의 프로젝트에서올바른 방법으로 통합 스트림에서 클리어 케이스 구성 요소를 추가하는 방법

우리는 다음과 같은 한 구성 요소

  1. xyz_design

    (AV, BV 파일)
  2. xyz_project
  3. xyz_libs (LIB1 (소프트 링크 디렉토리와 다른 디렉토리를 xyz_verif하는 디렉토리, 링크를 xyz_design합니다) .V, lib2.v 파일) 파일 ver2.sv
  4. xyz_verif (ver1.sv)

이제 두 개의 프로젝트 &을 두 가지 다른 통합 스트림으로 만들 계획이었습니다.

Chip_design_xyz (Z_chipxyz_design)는

  • xyz_design (수정 요소)
  • xyz_project (수정 요소)
  • xyz_libs (수정 요소)

Chip_verification_xyz (Z_chipxyz_verif (아래 성분이다)) (아래는 구성 요소입니다)

,
  • xyz_Verif (수정 요소)
  • xyz_project (비 수정 성분)
  • xyz_libs (비 수정 성분)
  • xyz_Design (비 수정 성분)

Z_chipxyz_designZ_chipxyz_verif 인 뿌리없는 컴포넌트를 각각의 통합 스트림에 포함시킨다.

모든 성분 chip_design_xyz 스트림 복합 기준으로 z_chip_verif_bl0 갖는 z_chip_design_bl0chip_verification_xyz을 갖는 BL0BL0_design 즉, BL0_verif 등으로 예비 기준을 갖는다.

chip_verfication_xyz 프로젝트에서 파일 verif1.sv을 변경하고 z_chip_verif_bl1이라는 새로운 기준선을 만들고이를 권장한다고 가정 해보십시오.
참고 : 여기에서는 xyz_Verif 폴더 만 변경했으며 xyz_design 폴더에는 아무 것도 변경하지 않았습니다.

지금 chip_design_xyz명에 a.v 파일을 변경하고 새로운 복합 baseline z_chip_design_bl1을 해제합니다.

새로 출시 된 기준선을 사용하고 검증 스트림을 리베이스한다고 가정 해 봅니다.
충돌이 발생합니까?우리는 복합 기준 z_chip_verif_bl1이 기준 BL0_design을 가지고 있으며, 구성 요소 xyz_design있다 (우리의 스트림의 권장 기준)을 가지고 있기 때문에 우리가 z_chip_design_bl1에 리베이스 할 때

지금, 우리는 xyz_design 포인팅 기준 BL1_design에 있습니다.

리베이스 할 때이 설정으로 종합베이스 라인 충돌이 발생합니까?

답변

0

루트없는 구성 요소의 기초 기준을 다시 설정하면 한 기준선을 다른 기준선으로 변경하고 바꿉니다.

구성 요소 (루트 또는 루트 기반)가 리베이스하기 위해 사용중인 스트림 (여기서는 chip_verification_xyz)에서 생성 된 새베이스 라인을 아직 생성하지 않은 경우에만 허용됩니다.

그러나 여기에서는 z_chip_verif_bl1을 새로 만들었습니다. 더 이상 리베이스 할 수 없습니다. 하나의 통합 스트림 (chip_design_xyz)에서 변경 사항을 chip_verification_xyz으로 전달해야합니다.

리베이스 대상 스트림이 섞여있을 수도 있지만 개념은 동일하게 유지됩니다. 여기서는 프로젝트 간 배달을 권장 할 수 있습니다.

+0

답장을 보내 주시면 감사하겠습니다. 그러나 우리가 디자인 스트림 기준선을 가져 와서 Verification 스트림에서 해당 기준선을 리베이스하려고하면 스트림에서 수정할 수없는 구성 요소로 xyz_design이 있으므로 충돌이 발생합니다. 또한 하나의 구성 요소가 xyz_verif 인 z_chipxyz_verif (복합 구성 요소)가 있고 z_chipxyz_verif 아래 다른 모든 구성 요소가 제거되고 디자인 기준선 (z_chip_design_bl1)을 사용하여 스트림을 리베이스하면 스트림에 대한 설정을 따르는 것이 더 좋습니다. – Sat

+0

@Sat 종속성 기준선 중 하나가 변경되면 수정 불가능한 * rootless * 구성 요소는 여전히 새로운 기준선을 얻습니다. 그러나 귀하의 경우에 그렇게하지 않으면 복합 구성 요소 기초 기준을 변경하여 하나의 기준 집합을 다른 기준 집합으로 대체 할 수 있습니다. – VonC