2014-10-22 8 views
0

시뮬레이터 구성 매개 변수 run_mode에 액세스하려고합니다.이 값은 BRUN 변수로 저장됩니다. specman의 매개 변수에 액세스하려면 다음 코드를 사용하고 있습니다.specman의 시뮬레이터에서 값을 검색하는 방법

simulator_command ("SN의 $의 ENV (BRUN_RUN_MODE)")

반환 그러나 무엇 (예 : interactive_debug는) 분명히 존재하지 않는 Specman을 명령으로 해석됩니다. 이 매개 변수를 다시 specman으로 보내는 방법이 있습니까?

답변

1

var에 RUN_MODE을 시도하십시오 : = get_symbol ("BRUN_RUN_MODE을");

0

simulator_command 시뮬레이터의 CLI와 상호 작용 시도. 즉 Verilog/VHDL 시뮬레이터 TCL CLI. 여기서하고있는 일은 시뮬레이터의 CLI에 들어가서 TCL의 $env을 사용하여 환경 변수를 가져 오는 동안 sn [...] 명령으로 specman을 다시 호출하는 것입니다. 이 호출도 비슷해 :

BRUN_MODE 가정은 FOO 당신은 토르스텐 대답 당신이 정말 시뮬레이터 명령이 아닌 환경 변수를 원하는 않는 한, get_symbol를 사용하려면

Specman Runtime    Verilog/VHDL CLI 
    |        * 
    | --> simulator_command(------>| 
    *        | 
    *       sn $env(BRUN_RUN_MODE) 
    *        | (TCL interpreter string transform) 
    *        v 
    *       sn "FOO" 
    *        | 
    |<-------- "FOO" <--------------| 
    |        * 
    |------->(end of `sn` call) --->| 
    *        | 
    |<--(end of simulator_command)--| 
    |        * 

로 설정됩니다. 이 경우 simulator_command을 호출 한 다음 시뮬레이터에서 출력을 추출하는 것이 적절할 수 있습니다. 그러나 Specman 문서와는 별도로 특정 시뮬레이터 문서를 살펴 봐야합니다.