-1
posedge clk
에 8 비트의 입력 x
이 반복되는 시간을 계산하려고합니다. 나는이 8 비트 각각의 값에 256b 카운터를 작성하여 x
을 비교하려고 생각하지만 상승 에지에서 각 입력 값이 각각의 카운터 값인 x
을 비교하려고 할 때 오류가 발생합니다.입력 반복 횟수 입력 Verilog
module counter_initial(x);
input[7:0] x;
//output [7:0] y;
//reg [7:0] y;
//reg [7:0] freq_tst,gap_tst;
reg [7:0] freq_num;
endmodule
module counter_256(clk,x,out);
input [7:0] x;
input clk;
// input [7:0] in;
output [7:0] out;
reg [7:0] out;
//reg [7:0] freq_tst,gap_tst;
reg [7:0] k=0;
// reg [] t=0;
genvar i;
generate
for (i=0;i<256;i=i+1)
begin
counter_initial m(i);
//t=m(i);
end
endgenerate
always @(posedge clk)
begin
if(k<256) begin
if (x==m[i])
//counter_initial[k]==x
begin
freq_num=freq_num+1;
end
//else
//begin gap_tst=gap_tst+1; end
k=k+1;
end
end
endmodule