나는 공장으로 UVM 테스트를 등록에 사용되는 다음의 SystemVerilog 구조와 혼란 스러워요 : 여기의 SystemVerilog : 공장과 UVM 테스트를 등록
class random_test extends uvm_test;
`uvm_component_utils(random_test);
...
function new (...
우리가 클래스 random_test의 정의를 가지고 있고, 내부 정의는 메소드를 호출하는 반면 인수는 정의되는 클래스입니다. 그래서 여기 내 질문은 :
- 는
`uvm_component_utils
는 어떤 객체가 random_test 클래스에서 건설되었습니다도 전에 시간이 0에 호출되고 있습니까? - 클래스 정의에
`uvm_component_utils
클래스를 전달하려면 어떻게해야합니까?
감사합니다.