참고 :이 질문을 할 수있는 더 나은 곳이 있으면 알려주십시오! 나는 광범위하게 봤 거든 대답을 찾을 수 없다.scanning을 사용하여 파형보기
나는 웨이브 폼 뷰어 scansion을 사용하여 간단한 카운터/sin LUT의 출력을 보려고한다. 나는 컴파일을 위해 이카루스 Verilog를 사용하고있다. 지금까지 내가 명령 줄에 iverilog -o sinGen_TB sinGenerator_TB 내가 을 말한다 오류 받고 있어요
는 "문서"sinGen_TB는 "열 수 없습니다 sinGen_TB, 다음 VVP 실행했습니다. 운율 분석은 할 수 없습니다 이 유형의 파일을 열 수 있습니다. " 내가 sinGen_TB.vvp 또는 sinGen_TB.vcd으로 파일을 저장할 때
또는, 내가 을 얻을 "문서"sinGen_TB.vvp는 "열 수 없습니다. 운율 분석 열 수 없습니다 파일에서"문서 " 형식입니다."
이것은 무엇을 의미하며이 파형을 볼 수있게하려면 어떻게해야합니까?
는`include "sinGenerator"
module sinGenerator_TB();
reg clk, rst;
reg [0:3]M;
wire [16:0]data_out;
//instantiate the unit under test
sin_LUT UUT(
.clk(clk),
.rst(rst),
.M(M),
.data_out(data_out)
);
//initialize clock
always begin
#5 clk = ~clk;
end
//initialize variables
initial begin
rst = 1;
M = 1;
#20 rst = 0;
#200 M = 2;
#200 M = 4'b0100;
#200 $stop;
end
endmodule