2016-07-11 5 views
0

참고 :이 질문을 할 수있는 더 나은 곳이 있으면 알려주십시오! 나는 광범위하게 봤 거든 대답을 찾을 수 없다.scanning을 사용하여 파형보기

나는 웨이브 폼 뷰어 scansion을 사용하여 간단한 카운터/sin LUT의 출력을 보려고한다. 나는 컴파일을 위해 이카루스 Verilog를 사용하고있다. 지금까지 내가 명령 줄에 iverilog -o sinGen_TB sinGenerator_TB 내가 을 말한다 오류 받고 있어요

는 "문서"sinGen_TB는 "열 수 없습니다 sinGen_TB, 다음 VVP 실행했습니다. 운율 분석은 할 수 없습니다 이 유형의 파일을 열 수 있습니다. " 내가 sinGen_TB.vvp 또는 sinGen_TB.vcd으로 파일을 저장할 때

또는, 내가 을 얻을 "문서"sinGen_TB.vvp는 "열 수 없습니다. 운율 분석 열 수 없습니다 파일에서"문서 " 형식입니다."

이것은 무엇을 의미하며이 파형을 볼 수있게하려면 어떻게해야합니까?

`include "sinGenerator" 

module sinGenerator_TB(); 
reg clk, rst; 
reg [0:3]M; 
wire [16:0]data_out; 

//instantiate the unit under test 
sin_LUT UUT(
    .clk(clk), 
    .rst(rst), 
    .M(M), 
    .data_out(data_out) 
); 

//initialize clock 
always begin 
#5 clk = ~clk; 
end 

//initialize variables 
initial begin 
rst = 1; 
M = 1; 
#20 rst = 0; 
#200 M = 2; 
#200 M = 4'b0100; 
#200 $stop; 
end 

endmodule 

답변

0

Verilog 파일은 일반적으로 파일 확장자로 .v을 사용합니다. SystemVerilog는 .sv을 사용합니다. 파일 확장명을 사용하십시오. 시뮬레이터가 어떤 언어를 컴파일하려고하는지 알 수 있습니다 (모든 현대 Verilog 시뮬레이터는 이전 버전과의 호환성을 갖춘 SystemVerilog 시뮬레이터입니다). vim 및 emacs와 같은 Plus 텍스트 편집기는 파일 확장명을 사용하여 구문 강조/서식 지정 방법을 결정합니다.

시뮬레이터는 .vcd 파일을 생성해야합니다. Scansion은 파형을보기위한 도구 일뿐입니다. 파형을 생성하는 것과 아무런 관련이 없으며 질문과 관련이없는 것처럼 보입니다.

시뮬레이터에서 VCD 파일을 만들 위치를 알아 보려면 $dumpfile이 필요합니다. VCD 파일에 넣을 신호를 알고 싶다면 $dumpvars이 필요합니다.

initial begin 
    $dumpfile("dump.vcd"); 
    $dumpvars; 
end 
: 당신이 모든 것을 덤프 dump.vcd에 넣어, 다음 테스트 벤치에이를 추가 할 경우 IEEE Std 1800-2012 § 21.7 값 변경 덤프 읽기 (VCD)는 예를 들어

을 파일

0

iverilog -o sinGen_TB sinGenerator_TB은 최종 실행 sinGen_TB를 생성 : 나는 인스턴스화하고있어 모듈도 알려 필요한 경우 여기

, 나는 컴파일하고있어 코드입니다.

Iirous 시뮬레이터를 실행하는 방법에 관한 자세한 정보는 man iverilog를 사용하십시오.

실행 파일을 실행해야합니다 (vvp sinGen_TB). 그러면 시뮬레이션이 실행되고 파형 뷰어에서 열 수있는 출력 파일이 생성됩니다.

는 또한 파형을 덤프 아래의 코드를 추가해야

[내가 ./sinGen_TB 추측도 시뮬레이션을 실행].

initial 
begin 
    $dumpfile("sinGen_TB.vcd"); //file name 
    $dumpvars(0,sinGenerator_TB); // module name 
end