2017-11-24 17 views
0

저는 Verilog 및 Active-HDL에 새로운 경험이 있습니다. 나는 문제가있어 누군가가 이것에 대해 조언 할 수 있다면 감사 할 것이다.viewing waveform- Active hdl

파형 뷰어에서 두 번째 레이어 모듈의 파형을 볼 수 없습니다. 보다 정확하게는 서브 모듈의 신호가 Z 또는 X 중 하나를 표시합니다.

tools/preferences/simulation/access 디자인 객체를 통해 읽기/쓰기 액세스가 가능합니다.

예를 들어 나는 tb 모듈에서 clk을 생성하고 clk_mod에 연결하여 clk_mod에서 clk를 ​​보려고합니다. 그러나 clk의 경우 "Z"와 "i"에만 "X"를 표시합니다.

`timescale 1ns/100ps 

module tb; 
reg clk; 
clk_mod dut(.clk(clk));  

initial 
    begin 
     clk = 0; 
     forever 
      #5 clk = ~clk; 
    end 
endmodule 


module clk_mod (input clk); 

reg i; 
always @(posedge clk) 
    begin 
     i=10; 
    end 

endmodule 

답변

0

나는 당신의 tb가 시뮬레이션에서 빠져 나간다고 생각합니다. 당신은 (별도의 문 같은) tb 모듈에 다음 문을 추가해야합니다 :이 단계 20에서 시뮬레이션을 완료 할 당신이 올바른 도구를 사용하는 경우, 당신을 위해 파형을 생성해야합니다

initial #20 $finish; 

.

또한 i을 단일 비트 reg로 선언 했으므로 '10'을 넣을 수 없습니다. 따라서 웨이브 폼은 토글 링 클럭과 'x'에서 '0'까지 'i'의 단일 트랜잭션을 표시해야합니다. 난 당신이 선언해야 추측

는 'i'를이 같이

reg [3:0] i;