sed와 정규 표현식을 사용하여 VHDL 파일에서 모든 주석을 삭제하려고합니다.VHDL 파일에서 주석을 삭제하는 Sed + RegEx
VHDL 주석은 -로 시작하고 그 이후의 나머지는 주석입니다.
내 첫 번째 방법이었다 : 가 나오지 -i의 /--.*// g 'file.vhdl
이 모든 댓글을 삭제하지만,하지와 파일도 지정을 포함 할 수는 걱정 : 기호 -. 따라서 sig1 < = "11--000"과 같은 할당도 영향을받습니다. 또한 할당은 sig1 < = "0--"& "--1"과 같은 연결 일 수 있습니다. 이 모든 경우를 다루는 훌륭한 정규식이 있습니까? 할당 선이 a로 끝나야하기 때문에 줄 끝에서 일치하는 것일 수 있습니다. ?
모든 경우를 커버 테스트 파일 :
-- comment start of line
architecture beh of ent_name is
signal sig1 : std_logic_vector(6 downto 0); -- comment end of line
begin
proc: process (sensitivity)
begin
sig1 <= "0--11-1"; -- another comment
sig1 <= "0--11--";
sig1 <= "00--" & "--1"; -- yet another
sig1 <= "00--" & "--1";
end process proc;
end beh;
감사합니다!
관심 있으신 분이, 의견을 삭제하는 이유는 무엇입니까? –
파일은 사용자 제출 파일이며 특정 키워드를 자동으로 확인합니다. 예 : 학생들은 사전 정의 된 엔티티를 사용해야하므로 엔티티 이름의 발생을 확인합니다. 나는 그 (것)들이 코멘트로 이름을 쓰어서 체계를 속이는 원하지 않는다. 또는 예를 들어 내가 wait 문을 금지하고 누군가가 기다리는 주석을 쓰면 거부 될 것입니다. – MartinM
오, 좋은 생각이야. 중요한 경우 테스트 코드는 주석 내에 큰 따옴표가있는 경우를 다루지 않습니다. –