2017-04-24 18 views
-2

Mac에서 iverilog를 사용하고 있으며 always_ff 및 always_comb 블록이 포함 된 코드를 컴파일하는 데 문제가 있습니다. ModelSim은 문제없이 이러한 코드를 컴파일합니다. iverilog를 always_ff 및 always_comb 블록을 지원하도록 구성 할 수 있습니까? 그렇지 않으면 컴파일러에서 지원되지 않습니다. ???icarus (iverilog 컴파일러)의 SystemVerilog 지원

미리 감사드립니다.

+0

http://iverilog.icarus.com은 없습니다. 이 모듈은 always_ff 및 always_comb를 포함하지 않는 IEEE Std 1364-2005를 지원하려고 시도합니다. – toolic

+0

Mac에서 SystemVerilog를 컴파일 할 수있는 다른 방법이 있습니까? 또는 VM (또는 기본 윈도우)을 사용해야합니다. –

답변

2

always_comb, always_latchalways_ff은 SystemVerilog IEEE Std 1800-2012에 도입 된 키워드 중 일부입니다. 그것들은 Icarus Verilog 컴파일러가 지원하는 Verilog IEEE Std 1364-2005의 일부가 아닙니다.

저는 무료 SystemVerilog 시뮬레이터에 대해 알지 못합니다. 그러나 항상 EDA Playground을 사용하여 SystemVerilog 디자인을 시뮬레이트하고 합성 할 수 있습니다.

+0

무료 SystemVerilog 시뮬레이터는 Windows 플랫폼에서만 지원되며 전체 언어를 지원하지 않습니다. –

+0

@ dave_59 : Altera Modelsim Starter Edition은 Windows와 Linux 모두에서 지원됩니다. MacOS에 대해서는 잘 모르겠다. – AndresM

+0

Verilator는 SystemVerilog의 합성 가능한 하위 집합 인 https://www.veripool.org/wiki/verilator를 지원하는 오픈 소스 시뮬레이터입니다. – JeffB