2011-01-24 3 views
0

저는 ghdl을 우분투 10.10 (저장소에서 apt-get 사용)에 설치하고 ghdl 설명서에서 사용 가능한 hello_world 예제를 사용했습니다. 나는 (-a)를 분석하고 (-e) 정교하게 (-r) 실행하려고 할 때 다음과 같은 오류가 발생한다.
/usr/lib/ghdl/bin/ghdl : 컴파일 오류ghdl을 사용하여 vhdl 코드를 실행/실행할 수 없습니다.

내가 성공하지 않고 너무하지만 루트로 작업을 시도

$ ghdl -a hello.vhdl
$ ghdl -e hello_world
$ ./hello_world
bash: ./hello_world: Permission denied
$ ghdl -r hello_world
/usr/lib/ghdl/bin/ghdl: compilation error

:

내 문제를 자세히 설명하기 위해, 나는 끝에 VHDL 코드와 내가 다음에 따라 명령의 순서를 언급했다. 사용 권한은 완벽하며 실행 비트가 설정됩니다. 모든 것이 잘되는 것처럼 보이지만 여전히 작동하지 않습니다. 심지어 ghdl 패키지를 다시 설치하려고했습니다.

누군가가 문제의 원인이 될 수 있다고 말해 줄 수 있습니까?

미리 감사드립니다. 실행 비트가 설정되지 않은 경우, I 문제를 재현 할 수

-- Hello world program.
use std.textio.all; -- Imports the standard textio package.
-- Defines a design entity, without any ports.
entity hello_world is
end hello_world;
architecture behaviour of hello_world is
begin
process
variable l : line;
begin
write (l, String'("Hello world!"));
writeline (output, l);
wait;
end process;
end behaviour;

답변

2

파일 시스템이 noexec에 마운트되어 있습니까? mount은 작업 영역이 하나 인 파일 시스템에 대해 무엇을 말합니까?

+0

알았습니다! 마운트에는 exec 세트가 없습니다! 파티션에 이제 exec 권한이 있으면 ghdl이 제대로 작동합니다. 당신의 도움을 주셔서 대단히 감사합니다. –

+0

이제 질문은 어둠 속에서 한 번 찍은 것입니까 아니면 개인적인 경험을 통해 제공 한 것입니까? 그리고 사전에 약간의 악의를 품고 chmod -x scan_tb; ghdl -r scan_tb는'ghdl : compilation error'를 제공합니다 (특별히 설명하지는 않습니다). – user1155120

+0

@DavidKoontz - 오래 전 이었지만, 어둠 속에서도 그것이 총격 사건이라고 상상 했었습니다. (확실히 GHDL의 특정 문제는 없었습니다. 메모리에서 다른 실행 파일을 실행하려고 시도했지만, noexec가 마운트 된 스틱 장치). –

1

hello.vhdl (VHDL 코드).

권한에 문제가없는 것은 확실합니까?

+0

예, 확실합니다. "ls -al hello_world"의 출력은 "-rwxr-xr-x 1 neel neel입니다. 447332 2011-01-25 12:16 hello_world" –

0

그냥 똑같은 문제가 있었고, 모든것을 고쳐 놓았던 해결책은/usr/local/bin/ghdl 폴더를 777 mod로 chmod하는 것이 었습니다.