2011-04-24 7 views
1

나는 테스트 벤치를 시뮬레이션하려고합니다. 나는 또한 프롬프트에서 다음과 같은 경고 메시지가 나타납니다 파형을 받고 아니에요. 내 코드가 시뮬레이트되지 않는다는 경고 때문입니까?프롬프트에서 경고 메시지가 발생했습니다.

** Warning: (vsim-WLF-5000) WLF file currently in use: vsim.wlf 
#   File in use by: Hostname: ProcessID: 0 
#   Attempting to use alternate WLF file "./wlftazxa4k". 
# ** Warning: (vsim-WLF-5001) Could not open WLF file: vsim.wlf 
#   Using alternate file: ./wlftazxa4k 
run 

다음과 같이 나 또한 내 테스트 벤치를 포함하는 여러 가지 해요 :

module dec_tb; 
    reg [63:0] FROM_IF_ID; 
    reg CLK; 
    wire [117:0] TO_ID_HMIC; 
    integer k=0; 
    inst_decode id(.from_if_id(FROM_IF_ID),.clk(CLK),.to_id_hmic(TO_ID_HMIC)); 

    initial 
    begin 
     $monitor($time,"clk=%b, fifid=%b, tidhm=%b",CLK,FROM_IF_ID,TO_ID_HMIC); 
     $display("qf"); 
     CLK= 0; 
     FROM_IF_ID[35:32]=4'b1100; 
     FROM_IF_ID[63:36]=28'b0000_10000_00100_01000_00010_0001; 
    end 

    always 
    begin 
    #10 CLK= ~CLK; 
    end 
    always @(posedge CLK) 
     begin 
     $display (" TO_ID_HMIC= %b", TO_ID_HMIC); 
     FROM_IF_ID[k] =~FROM_IF_ID[k]; 
     k=k+1; 
     #500 $finish; 
     end 
    endmodule 

답변

3

을 그 후 시뮬레이션을 실행, 유일한 메시지의 경우, 당신은 지정된 대체 파일 (wlftazxa4k)에서 파도를 찾을 수 있습니다.

당신은 파도가 vsim.wlf에 표시되도록 문제를 해결하려는 경우 시도 할 수있는 몇 가지 있습니다 :

  • 당신이
  • 를 실행하는 처진 ModelSim을 프로세스가없는 있는지 확인 당신이
  • 수동 vsim.wlf 삭제 파형 뷰어 오픈 vsim.wlf을하지 않도록 나는이 문제가 있었
+0

vsim.wlf를 삭제하면 수동으로 문제가 해결됩니다. – Ehsan

0

을 다시 실행합니다. 결과적으로 내 vsim 파일이 있던 디렉토리가 가득 찼습니다 (학교 파티션, 600MB 허용 공간). 주위에 거짓말을했던 오래된 파일을 삭제 한 후 프로그램이 정상적으로 작동했습니다.

0

귀하의 퀴즈 :

  1. 실행하면 시뮬레이션
  2. 는 GUI 또는 명령을 사용하여 시뮬레이션을 종료 : quit -sim
  3. 고토 1 단계와 재미를 가지고있다.

modelsim을 사용한 캐시 파일을 삭제할 수 있습니다.