는 여기가 테스트 벤치를 작성하는 방법을 봤 때 와서 그 처음의 좋은 reference, 하나입니다.
먼저 Google을 시도하고 정직하게 촬영 한 다음 더 구체적인 질문으로 여기로 돌아와야합니다.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity Full_Adder_tb is
end Full_Adder_tb;
architecture Behavioral of Full_Adder_tb is
component Full_Adder is -- component declaration
port(
a : in std_logic;
b : in std_logic;
C_in : in std_logic;
S : out std_logic;
C_out : out std_logic
);
end component;
signal a: std_logic := '0'; -- signal declarations
signal b: std_logic := '0';
signal C_in: std_logic := '0';
signal S: std_logic;
signal C_out : std_logic;
begin
uut : Full_Adder -- component instantiation
port map(
a => a, -- signal mappings
b => b,
C_in => C_in,
S => S,
C_out => C_out);
process
begin
wait 10 ns; -- wait time
a <= '0'; b <= '0'; C_in <= '1'; -- example test vector
wait 10 ns;
-- Other test vectors and waits here
end process;
end Behavioral;
테스트 벡터가 작동합니다. 어떻게 테스트 벡터를 추가 할 수 있습니까? 테스트 벤치에서 나는 무엇을 테스트합니까? 전체 가산기 코드에서 작동하는지 여부를 확인 하시겠습니까? – user3529032
도움을 주셔서 감사합니다. 진리표에 따라 테스트 벤치를 만들었습니다. – user3529032