sigasi-studio

    -1

    1답변

    그래서 VHDL로 계층 적 구성 요소를 만들었습니다. 현재 최상위 엔티티는 다음과 같습니다. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; --This component takes 2 numbers written in scientific notation and returns