나는 2 개의 오류가 있습니다. 오류는 최종 프로세스 및 최종 아키텍처에 있습니다. 다른 끝을 추가하려고했지만 도움이되지 않습니다.왜 최종 프로세스 및 최종 아키텍처에 오류가 있습니까?
Line 40: ERROR, syntax error near 'process'.
Line 46: ERROR, syntax error near 'ARCHITECTURE'.
여기 분석에서 설계 사양을 방지하는 몇 가지 오류가 있습니다 전체 코드
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
ENTITY four_bit_new_counter IS
port (clk , rst , start , stop : in std_logic ;
output : out std_logic_vector(3 downto 0);
carry : out std_logic);
END ENTITY four_bit_new_counter;
ARCHITECTURE one OF four_bit_new_counter IS
signal qout: unsigned (3 downto 0);
signal cout: std_logic ;
BEGIN
process (clk,rst,start,stop)
begin
if (rst ='1') then
qout <= (qout'range => '0');
cout <= '0' ;
elsif (clk'event and clk = '1') then
cout <= '0' ;
if start='1' and stop='0' then
if qout = '9' then
cout <= '1' ;
qout <= '0' ;
else
qout <= qout+1 ;
endif ;
end process ;
output <= std_logic_vector(qout);
carry <= cout ;
END ARCHITECTURE one;
"endif"는 VHDL에서 유효한 구문이 아닙니다. – fru1tbat