library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity Lab3_Adder1 is
Port (cin : in STD_LOGIC;
a : in STD_LOGIC_VECTOR (3 downto 0);
b : in STD_LOGIC_VECTOR (3 downto 0);
s : out STD_LOGIC_VECTOR (3 downto 0);
cout : out STD_LOGIC);
end Lab3_Adder1;
architecture Behavioral of Lab3_Adder1 is
SIGNAL c : STD_LOGIC_VECTOR (4 DOWNTO 0);
begin
c(0) <= cin;
s <= a XOR b XOR c (3 DOWNTO 0);
c (4 DOWNTO 1) <= (a AND b) OR (a AND c(3 DOWNTO 0)) OR (b AND c(3 DOWNTO 0));
cout <= c(4);
end Behavioral;
안녕하세요, 저는이 포럼을 처음 사용합니다. VHDL에서 월리스 트리 곱셈을하고 있습니다. 위의 코드는 전체 가산기의 코드입니다. 주 코드에서 함수/컴포넌트를 어떻게 호출하는지 알고 싶습니다. (C 프로그래밍 에서처럼). 내 전체 코드에서이 전체 가산기를 호출 할 것입니다. (실수가있는 경우 영어로 나옵니다. im french)VHDL 승수