flip-flop

    1

    2답변

    T Flipflop에 대해이 프로그램을 작성했습니다. 출력은 11 번째 클럭마다 토글됩니다. 프로그램이 나를 " Illegal reference to net "clkDivider" 오류를주고있다. 제 질문은이 오류의 의미는 무엇입니까? 그것을 일으키는 원인은 무엇입니까? 당신은 모듈 input 신호에 값을 할당 안 module TFF(clkDivider,c

    -1

    2답변

    다음 코드는 비동기 카운터 용으로 작성되었습니다. 프로그램이 잘 컴파일되지만 카운터 값은 1 이후에 증가하지 않습니다. 내가 도대체 ​​뭘 잘못하고있는 겁니까? //TOP module CounterWithDivider(clk,reset,temp,q); input clk,reset; output [3:0]q; output reg [3:0]temp;

    -5

    1답변

    *** 전기 공학이 아닌 여기에 게시하는 것이 유감입니다. 나는 그곳에서 새로운 브랜드이기 때문에 이미지를 게시 할 수 없습니다. 필사적 인 시간은 필사적 인 조치를 요구하며, 나는 소수의 CS 사람들이 이런 종류의 일에 익숙하거나 수업을 받아야한다고 생각합니다. 몇 주 전까지 만해도 나는 회로도를 그려 본 적이 없었으므로 나와 함께 감내하십시오. 나는 현

    2

    3답변

    , title2 등과 같이 많은 제목이 포함 된 @mytitles이라는 배열이 있습니다. 각 제목과 관련된 정보가있는 "Superdataset"이라는 파일이 있습니다. 그러나 title1과 관련된 정보는 6 행일 수 있으며 title2에 대한 정보는 30 행 (무작위) 일 수 있습니다. 각 정보 (titlex)는 "Reading titlex"으로 시작하고

    1

    3답변

    D 플립 플롭을 사용하여 4 비트 링 카운터를 모델링했습니다. D 플립 플롭은 별도의 파일에 있으며 내 작업 공간에 포함되어 있습니다. D 플립 플롭이 올바르게 작동합니다 (올바른 출력 파형 제공). 여기 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entit

    14

    2답변

    최근에 Perl과 Ruby에서 정규 표현식을 사용하는 플립 플롭에 대해 들어 봤지만 실제로 어떻게 작동하는지, 일반적인 사용 사례는 무엇인지 찾아 낼 수 없었습니다. 누구나 언어에 구애받지 않는 방식으로 설명 할 수 있습니까? 나는 그것이 무엇인지, 그리고 그것이 어떻게 작동하는지 이해 했으므로 간단하게 질문을 다시 표현할 것입니다. 플립 플롭 연산자는 무

    2

    1답변

    나는 D 래치를 사용하여 VHDL로 D 플립 플롭을 구현하려고합니다. 하지만 시계에 오류가있는 것 같아요. 그게 뭔지 알아 내지 못합니다. 다음은 내 D 래치 코드입니다. Library ieee; Use ieee.std_logic_1164.all; entity d_latch is port (c,d : in std_logic; q,n

    0

    1답변

    나는 플립 플롭 연산자를 사용하여 텍스트 구문 분석을하고있는 중이 야 내 데이터는 같은 아래에 보이는 : COMMAND START CELL 123 COUNTER1 COUNTER2 COUNTER3 23 25 45 COUNTER1 COUNTER2 COUNTER3 22 34 52 CELL 234 COUNTER1 COUNTE

    -2

    3답변

    명령의 출력에 나오는 특정 단어 (C7STH, C7ST2C)의 '번호'를 찾아야합니다. 이 명령은 '고정 된'텍스트로 시작하고 끝납니다. 아래에서와 같이 START & END를 시작하십시오. 이 명령은 로그 파일의 다른 노드에 대해 여러 번 반복됩니다. (/^START을 $/.../^ END을 $ /) 각 명령의 출력을 얻을 경우 ... START SLC

    2

    1답변

    always @ (posedge clk or negedge reset) begin //Asynchrous FF end always @(posedge clk) begin if (reset) // Synchronous FF end 다음 구현의 차이점은 무엇입니까? 나는 FF의 크기의 측면에서 의미합니다. Synthesizer로 왜 그리고