chisel

    1

    1답변

    매개 변수화 된 모듈 또는 함수에서 유형에 따라 일반 Chisel Data 유형을 "0"으로 초기화해야 할 수도 있습니다. 값이 (ZERO) .asInstanceOf [T]와 같아야합니다. 여기서 T < : 데이터입니다. (0.U) .asInstanceOf [T] 대부분의 T < : 데이터에서는 작동하지만 T == Bool에서는 작동하지 않습니다. 해결 방

    1

    1답변

    Chisel에서 Reg 및 Mem의 사용법에 대해 알고 싶습니다. 일반적인 시나리오에서 선택할 수있는 방법을 어떻게 결정할 수 있습니까? 나는 Mem이 대용량의 데이터를 저장할 때 가장 좋은 생각이라고 생각할 것입니다. FPGa 슬라이스 내에서 플립 플롭을 사용하는 대신 SRAM에 데이터를 저장하기 때문입니다. 큰 레지스터 파일 (보통 크기의 10 배)을

    3

    1답변

    I이 val my_reg = Reg(Vec(n, Bits(32.W))) 같은 벡터 레지스터를 정의하고 난 my_reg(i)을 사용하여 for 루프에서이 레지스터의 요소를 액세스. 는 지금, 나는 0으로이 레지스터를 초기화 좋아, 그래서 등록이의 요소에 액세스 할 때 나는 다음과 같은 컴파일 오류가 발생, 그러나이 val my_reg = Reg(Vec(n

    4

    2답변

    여기는 한 가지 방법이 있습니다. class DiffSquared extends Module { val inputWidth = 8 val width = 16 val io = IO(new Bundle { val X = Input(UInt(inputWidth.W)) val M = Input(UInt(inputWidt

    2

    1답변

    다음은 ScalaCheck를 사용하여 간단한 조합 회로에서 속성 검사를 수행하는 chisel3 테스트입니다. package stackoverflow import org.scalatest.{ Matchers, FlatSpec, GivenWhenThen} import org.scalacheck.{ Properties, Gen, Arbitrary} imp

    3

    1답변

    나는 scala 변수에 저장 한 스펙으로부터 Module IO 인터페이스를 생성하고 싶습니다. 나는이 클래스 정의를 생성하고 싶습니다 : 튜플의 목록과 같은에서 class AddIfc extends Module { val io = IO(new Bundle { val a = Input(UInt(8.W)) val b = Input(U

    2

    1답변

    Chisel3을 사용하여 레지스터 세트를 구성합니다. val register_set = Reg(Vec(7,UInt(32.W))) 그러나 합성 Verilog 코드는 다음과 같습니다 : 치즐 코드는 reg [31:0] register_set_0; reg [31:0] register_set_1; reg [31:0] register_set_2; reg [

    3

    1답변

    처음 초기화 할 때 모든 비트를 1로 설정하여 메모리 bitmem을 초기화하려고합니다. 나는 에서 값을 초기화하는 비슷한 방법이 있다면 initsused ROM에 대해 봤어? val bitmem = Mem(Bits(width = conf.ways), (conf.cache_lines*conf.words_per_line)

    0

    1답변

    usb-bar의 웹 사이트에서 제공되는 chisel-tutorial을 다운로드했습니다. 테스트 파일 경로에 저장됩니다 "chisel-tutorial/src/main/scala/solutions/Regfile.scala". : "chisel-tutorial/src/test/scala/solutions/RegfileTests.scala". 벽성 SBT를

    0

    1답변

    PeekPokeTester를 사용할 때 VCS에 옵션을 지정하는 방법이 있습니까? 특별히 다음과 같이하고 싶습니다. 1) 블랙 박스 코드 에 대해 시스템 Verilog 사용 2) 매크로 정의를 제어하여 임의 번호 기반 초기화를 비활성화합니다. (초기화 문제를 X로보고 싶습니다.)