verilog

    0

    1답변

    module tb_alu32(); reg clk, reset; reg [31:0] tb_a, tb_b, tb_yexpected; reg [2:0] tb_op; wire [31:0] tb_result; reg[31:0] vectornum, errors; reg[99:0] testvectors[10000:0]; ... always begin

    0

    2답변

    Verilog에서 신호를 방출하기 전에 신호를 두 번 강제 실행해도 괜찮습니까? 아래에있는 것, initial begin force top.dut.xyz.abc.dout = 1; #5ns; force top.dut.xyz.abc.dout = 0; #5ns; release top.dut.xyz.abc.dout =

    0

    1답변

    Verilog를 사용하는 것은 나의 첫 번째 시도입니다. 내가 8 비트 양방향 포트, 데이터 레지스터 및 다음과 같은 방법으로 데이터 방향 레지스터 정의 : 그것은 완벽하게 작동 inout [7:0] pa; // 8-bit bidirectional parallel port reg [7:0] data_reg; reg [7:0] data_dir_reg; /

    1

    1답변

    오류가있는 곳을 찾을 수없는 것처럼 보입니다. 바보 같은 짓을하는 것처럼 느껴집니다. 모듈에 대한 테스트 벤치를 생성하려고하는데 구문 오류가 발생합니다. 코드는 다음과 같습니다 module tb_clock_test; reg clk, pps_in, rst; wire pps_rcvd, pps_out; wire [26:0] count; int d;

    0

    1답변

    부동 소수점 연산자 대 정수 연산자를 합성하는 데 소요되는 시간과 비용을 비교하려고하지만 이들을 적절히 비교하기 위해 32 비트 부동 소수점으로 표현되는 정수 연산에 대해 동일한 상대적 양의 비트를 사용해야합니다 번호. 어떤 도움을 주셔서 감사합니다!

    -2

    1답변

    내가 그것에 대해 사이트에 게시물을 보았고 내가 대답을 이해하지 못했다 : 질문 : 숫자가 3으로 나눌 수 있는지를 결정하는 코드를 작성하십시오. 함수에 대한 입력은 단일 비트, 0 또는 1이며 지금까지받은 숫자가 3으로 나눌 수있는 숫자의 2 진 표현 인 경우 출력이 1이어야합니다. 그렇지 않으면 제로. 예 :이이 인터뷰 질문을 기반으로 input "0"

    0

    2답변

    때로는 슬라이스의 너비가 0이 아닌 경우 배열 슬라이스를 점검하려는 상황이 매개 변수화 된 코드로 나타납니다. 나는 다음과 같이 쓸 수 있습니다 : parameter SLICE_WIDTH; parameter SLICE_BASE; logic [my_array_size : 0] my_array; //... always_ff @ (posedge clk)

    0

    1답변

    lib 자동/Verilog/Preproc/Preproc.so가 포함 된 PERL 스크립트에서 'Verilog :: Netlist'모듈을 사용하려고합니다. 이 lib는 libstdC++. so.6 lib가 동적으로로드되도록 요구합니다. libstdC++. so.6의 경로를 어떻게 지정할 수 있습니까?

    0

    1답변

    임은 4 층의 건물에 동작이 엘리베이터의 을 시뮬레이션 PS2 키보드와 basys2 작업을 Verilog PS2 키보드에서 코드 워드와 문 (서브, 1, 2, 3) 에 이 코드는 각 엘리베이터의 내부 요구 사항을 저장, CW는 PS2 키보드의 코드 워드는 정의 paremeters 시뮬레이션 ps2 keyboard codes module reg_in2(

    -2

    1답변

    순차 논리 내부에 case 문이 있습니다 (항상 블록이 clock의 posedge에서 활성화 됨). 이 케이스는 매개 변수 PARAM에 따라 비트 폭이 다른 변수 var을 평가합니다. var 가능한 값을 모두 커버해야 case 문에 걸릴 수 있으며 값은 PARAM에 따라 다릅니다. 예를 들어, PARAM이 32이면 var은 5 비트이므로 32 개가 있어야합