fpga

    0

    1답변

    USB3.0 통신을 위해 FT600 16 비트 칩과 인터페이스하고 있습니다. 컴퓨터는 FT600을 통해 FPGA로 통신하고 그 반대의 경우도 마찬가지입니다. 적절한 신호를 표명하고 데이터를 메모리에 기록하기 위해 FSM을 만들었습니다. 문제점 : 문제는 FPGA 코드가 아니라 하드웨어라고 가정하지만 다른 모든 바이트 만 올바르게 메모리에 기록되는 것처럼 보

    1

    2답변

    알테라 DE1 키트를 사용하여 프로세서를 설계하고 있습니다. 프로세서를 강조하기 위해 테스트 벤치를 실행하게됩니다. 내 설계의 전력 소비만을 측정하고 DE1 보드로 인한 다른 전력 손실을 무시하는 방법이 있는지 알고 싶습니다. TIA 답변입니다.

    0

    1답변

    알테라 DE1 보드를 기반으로 프로세서를 설계하고 있습니다. 가장 큰 관심사는 전원 관리입니다. DE1 보드에는 3 개의 클럭 입력과 내 설계에 사용될 수있는 외부 클럭 입력이 있음을 이해합니다. 그러나 나는 한 번에 이들 중 하나만 사용하려고합니다. 사용하지 않는 시계를 끄고 필요할 때만 켭니다. 사용자 매뉴얼에서 3 클록 입력에 대한 클록 인 에이블이

    1

    1답변

    nios II 소프트 프로세서에 대한 운영 체제 지원을 이해하려고합니다. 알테라와 웹은 이에 대한 답변을 제공하지만, 검색 포럼은 대부분의 정보가 보존되는 곳입니다. nios에서 이더넷 지원을 통해 일종의 RTOS를 얻는 데 드는 노력을 측정하려고합니다. ii. 어떤 OS 옵션이 있으며 많은 포팅 노력이 필요합니까?

    0

    1답변

    나는 FPGA 프로토 타이핑으로의 Verilog 예에서 문제를 해결하기 위해 필요 Pong 난에 시뮬레이션 할 때의 Autor의 실수 나 내가 잘못 STH 하고 있어요 경우 vivado 나는 어떤 변화도 발견하지 못한다. q_reg <= q_next; // ? q_next never initialised ??? // next-state logic

    0

    1답변

    저는 DAC의 sin 값과 상관시키기 위해 16 비트 값을 출력하는 카운터, 튜닝 워드 및 사인 LUT가있는 매우 기본적인 DDS 코어 (?)를 가지고 있습니다. Nexys 4 DDR board을 사용하고 있으며 DAC은 FPGA 전용으로 설계된 주변 장치 모듈입니다. 16 비트 DAC인데 왜 6 핀을 가지고 있으며 그 중 4 핀만 데이터 용입니까? 그리고

    0

    1답변

    SignalTap을 사용할 때 내부 ADC를 통한 시스템 클록 (FPGA_CLK1)과 SignalTap 신호 사이에 부정적 여유가 생겨 타이밍 위반이 발생합니다. 다음은 몇 가지 스크린 샷 : 의 TimeQuest ChipPlanner TechnologyMap SignalTap이 설계에 포함되지 않은 경우, 전혀 타이밍 문제. 동일한 디자인에서 Signal

    2

    1답변

    의 FPGA 구현에서 Iam은 표준 quantisation 및 huffman tables을 사용합니다. 질문 : 우리가 즉시 허프만 테이블을 생성 할 수 있나요?. 일단 프레임이 입력되면, FPGA의 블록은 frame에 해당하는 huffman tables을 생성해야합니다. block은 다가오는 몇 개의 프레임이 유사한 것으로 인해 언젠가 휴식을 취할 수 있

    0

    1답변

    알테라의 Quartus 패키지를 사용하여 FPGA 프로그래밍을 배우기 시작했다. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; library floatfixlib; use floatfixlib.fixed_pkg.all; --thi

    0

    1답변

    저는 zedboard에서 FPGA의 인터럽트를 FreeRTOS에 연결하려고합니다. 내가 코드를 작성할 때 : InterruptController = (XScuGic *)prvGetInterruptControllerInstance(); 을 나는 자일링스 SDK에서 오류가 말했다 얻을 : undefined reference to `prvGetInterrup