xilinx

    1

    2답변

    32 비트 바이트 주소 지정 가능 메모리로 간주되는이 IP 조각이 있습니다. 하지만 블록 램을 추론 할 수는 없지만 엄청난 양의 플립 플롭을 추론합니다 ... 듀얼 포트 블록 램 만있는 Spartan3e (xc3s1200e-4fg320)에 맞춰야합니다. 메모리는 짝수 - 홀수 배열로 두 개의 배열로 나뉘어져 있습니다 ... 여기 코드가 있는데, 내가 뭘 잘

    5

    3답변

    XST 도구를 사용하여 합성 된 자일링스 버텍스 5 FPGA 용 VHDL로 작성된 LUT 및 슬라이스의 매우 구체적인 동작을 구현하려고합니다. 추측하여 내 도구를 사용하여 내 동작을 달성 할 수 있는지 여부를 모르겠다. 어떻게 이런 일이 발생하도록 명시 적으로 지시합니까? 저는 CLB에 4 개가있는 Virtex5에서 6 입력 LUT를 사용하는 것에 대해 이

    1

    1답변

    DQPSK 변조기 및 복조기를 구현 중입니다. Simulink에서 exp (1j * Phase)를 계산하고 싶습니다. 어떻게 그러한 모델을 실현할 수 있습니까? 이 방향으로 어떤 도움을 매우 극명하게 될 것이다 : 여기 는 단계를 계산하는 모델의 일부입니다. 0 PI/2, PI, 3 * 파이/2 (컨 스텔 레이션 포인트) 읽기 감사 키란 구현

    0

    1답변

    JTAG 핀을 통해 XSVF 플레이어를 사용하여 Xilinx Spartan3을 프로그래밍하는 CPU가있는 임베디드 프로젝트가 있습니다. 유효한 구성이 FPGA에로드되었다는 것을 JTAG 핀을 통해 을 확인하는 방법을 찾고 있습니다. 업데이트 도중에 시스템이 재부팅 될 때 프로그램되지 않은 경우가 발생하며 다음 번 부팅시 장치가 프로그래밍되지 않습니다. 알테

    0

    1답변

    자일링스의 제퍼 IP 코어를 올바르게 사용하는 방법과 내가 잘못하고있는 것을 잘 모른다. 여기 는 코드 문제로 감소 내가 ISE에 추가 ​​할 모든 내가 제수 코어 오순절을 추가하는 것입니다 CE - 지수가 17 제수가 서명 11 나머지 폭 폭 활성화 2 devision 과 UCF 파일 엉 NET "CLK_50MHZ"정의에 따라 시계 여기 http://ww

    4

    2답변

    이 오류는 무엇이며 무엇을 검색해야합니까?

    0

    3답변

    시리얼 SPI 플래시 용으로 PROM 파일 (.MCS)을 생성하는 적절한 절차를 알고 싶습니다. Microblaze 프로세서에서 사용할 FPGA 컨피규레이션 비트 스트림과 소프트웨어를 모두 포함하십시오. 이것은 내 하드웨어 및 소프트웨어 설계가 모두 완료되었다고 가정합니다. 몇 가지 비 휘발성 메모리 디바이스가있는 SP605 인 Xilinx Spartan-

    3

    2답변

    나는 블록 램 추론을 테스트하기 위해 작은 장치를 합성했다. 나는 XST에서 메시지를 받았습니다 : 작은 RAM이 최대화 성능을 위해 LUT를 구현하고 RAM 자원을 차단 절약 할 수 있습니다. 을 블록에 강제로 구현하려면 옵션/제약 ram_style을 사용하십시오. 그러나, 나는 VHDL 직접 속성 (내 경우에는 11.1) 또는 제약 파일 ISE에서이 옵

    1

    1답변

    자일링스 시뮬링크에서 신호의 절대 값을 얻어야한다. 나는 mcode 블록을 사용하고 그것을 달성하기 위해 matlab 코드를 작성할 수있다. 그러나, 그것을하는 더 좋은 방법이 있는지 궁금해. Simulink (Xilinx)를 사용하는 데있어 매우 새로운 기능입니다. Xilinx 라이브러리의 Simulink 에서처럼 abs 블록이 있습니까? 감사

    0

    1답변

    절반 가산 모듈을 사용하여 4 비트 Carry Look-ahead Adder를 설계했습니다. 그런 다음 기능적 Verilog 설명을 사용하여 또 다른 4 비트 Carry Look-Ahead 덧셈기를 설계했습니다. 두 번째 것은 더 빨라야합니다. 어떻게 확인할 수 있습니까? Modelsim 또는 Xilinx ISE Project Navigator에서 모듈이