fpga

    1

    2답변

    비교 연산 (>)보다 더 복잡한 (+) 연산이 부동 소수점 연산뿐 아니라 정수 연산에서도 가능합니까? 마이크로 프로세서와 FPGA 기반 시스템의 맥락에서 답을 고맙게 생각합니다. 내 생각 : 비교 a> b는 (a-b)의 부호 비트, 즉 덧셈 연산을 검사하여 비교할 수 있기 때문에 마이크로 프로세서 기반 시스템에 대해서 이야기 할 때 비교와 덧셈은 같은 것이

    1

    1답변

    를 사용하여 비트 스트림 (.BIN) 파일을 생성하는 방법. Synplify의 출력은 .edn 또는 .edf 넷리스트입니다. 누구든지이 작업을 수행하는 과정을 알고 있습니까?

    0

    3답변

    저는 전자 공학부의 학생이며 지난 해 VHDL 기초를 공부했습니다.이 분야와 디지털 디자인 분야에서의 경험을 향상시키고 싶습니다. 저는이 분야의 전문가가되고 싶습니다. 어떻게해야합니까? 나는 웹을 많이 검색했지만 어떤 것도 찾지 못했습니다. 제발 도와주세요. 정말 고마워.

    1

    2답변

    library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_arith.all; --use ieee.std_logic_unsigned.all; --use ieee.std_logic_signed.all; e

    4

    2답변

    프로그램 메모리 내용이 BRAM에 저장되는 프로세서 기반 설계를 시뮬레이션하고 있습니다. VHDL (유추 BRAM)을 사용하여 프로그램 메모리를 실현하고 있습니다. 디자인을 이식성있게 유지하기 위해 CoreGen을 피하려고합니다. 결국이 디자인은 FPGA로 갈 것입니다. VHDL 제네릭을 사용하여 BRAM의 메모리 내용을 초기화하는 방법이 있는지 알고 싶습

    0

    1답변

    스파르탄 3에서 블록 램을 사용하여 읽고 쓰는 방법은 무엇입니까? xilinix의 매뉴얼 인 sim.pdf에서 각 쓰기에는 1 클럭주기가 필요하며 각 읽기는 BRAM이 동기식이므로 읽습니다. 우리는 유한 상태 기계가 램의 쓰기 및 읽기 작업을 각각 자유롭게하기로되어 있습니까?

    4

    1답변

    필자는 화면에서 커서를 제어하는 ​​새로운 방법을 기본적으로 만들고 있습니다. 지금은 Altera Stratix IV FPGA를 가지고 있으며, USB를 통해 정보를 컴퓨터로 보내고 커서를 제어하고 싶습니다. 이 대상이 누구이며 비슷한 것을 아는 사람이 있습니까? 이게 결국 무엇처럼 보이게 될까요? 나는 포트를 경청하고 마우스를 움직이는 기계에 코드를 써야

    2

    3답변

    내 컴퓨터에 연결된 카메라에서 직접 PCIe를 통해 내 컴퓨터에 연결된 FPGA로 비디오를 스트리밍 할 수 있습니다. 자바 스크립트 나 C#과 같은 고급 언어를 사용하면 신경 쓸 필요가 없습니다. (비디오 스트리밍 기능을 가지고있는 langauges처럼) 그렇지만 하드웨어 수준까지 도달하려면 C (꽤 잘 알고있다)를 사용해야 할 수도있다. 저는 리눅스 설치

    2

    2답변

    저는 Altera DE2 FPGA 보드와 Verilog를 사용하고 있습니다. 이들을 사용하여 간단한 CPU를 설계했습니다. 보드의 VGA 출력을 사용하여 레지스터의 값을 인쇄해야합니다. 어떻게해야합니까?

    0

    2답변

    나는 HTML과 자바 스크립트 프론트 엔드와 C 백엔드 (우)가있는 웹 사이트를 호스팅하는 FPGA를 가지고있다. 어쨌든 C 백엔드에서 클라이언트로 파일을 보낼 수 있습니까? 백엔드는 HTML 폼을 통해 말하고 있습니다 (백엔드는 FPGA에서 호스팅되기 때문에 AJAX를 처리하는 방법이 확실하지 않습니다). 웹 사이트는 읽기 전용 메모리 (따라서 클라이언트