synthesis

    3

    1답변

    자일링스 FPGA를위한 부스의 알고리즘 (유한 상태 기계 구현)을 구현하려고합니다. 기본적으로, 시작 신호에서 보조 레지스터를 초기화 한 다음 상태 0으로 이동합니다. 여기에서 2 비트를 비교하고 이동을 시작합니다. 상태 4에 도달 할 때까지이 작업을 반복합니다. assign Result = P[8:1]; [email protected](posedge

    0

    1답변

    try_main.sv rtl을 컴파일 할 때 "endmodule이 예상됩니다"라는 오류가 발생했습니다. 그것은 "t_five_bits i_comb_sig;"선언으로부터 뿌리 박은 것 같습니다. try_top 모듈에 있습니다. 일단 선언문을 주석 처리하면 오류가 사라집니다. 이 오류를 어떻게 해결할 수 있습니까? 파일 이름은 typedef struct {

    0

    2답변

    msb가 1이면 값의 2의 보수를 수행하는 모듈을 만들려고합니다. 종지에서 작동하지만 합성하려고하면 다음 오류가 발생합니다. 변수 표현식이 아니거나 잘못된 극성이므로 변수 X_parallel을 테스트 할 수 없습니다. module xTwosComp (X_parallel, Clk, Reset, X_pos); input [13:0] X_parallel; i

    0

    2답변

    std_logic_vector의 특정 위치에서 비트를 설정하는 자원 효율적인 방법을 찾고 있습니다. signal a := std_logic_vector(LEN-1 downto 0) := (others => '0'), 과 같이 std_logic_vector가 있다고 가정 해 봅시다. 여기서 LEN은 일반 사항입니다. 정기적 인 인터벌, 예를 들어 다섯 번째,

    0

    1답변

    특정 엔티티의 출력은 벡터의 모든 위치와 모든 입력에 따라 다릅니다. 이것을 구현하는 가장 쉬운 방법은 for-loop의 for-loop 인 것 같습니다. 그러나 대한 루프 두 번째 실패 13.0sp1의 Quartus II는 : VHDL syntax error at mcve.vhd(24) near text "IN"; expecting "(", or "'",

    2

    4답변

    음악 프로그램을 처음부터 프로그램하고 싶습니다. 큰 목표 : 예. 나는 아무 것도 끝낼 의도가 없다. 이것은 주로 학습을위한 개인 프로젝트입니다. : P 첫 번째 단계는 발진기와 계측기를 구축하는 것입니다. 악기는 아마도 오실레이터와 필터 (그리고 엔벨로프 + 이펙트)의 조합 일 것입니다. 자, 내 첫 번째 질문은 : 웨이브 제너레이터를 어떻게 구축해야합니

    2

    2답변

    나는 -- synthesis translate_off ... some sort of memory implementation/coding -- synthesis translate_on 코드의이 부분을 삭제하면 코드 내 FPGA implementation에서 최종 출력에 영향을 미칠 것 있으면 알려 주시기 바랍니다 다음과 같은 구조의 코드가 있습니다.

    2

    1답변

    켜져 있음 - 플래그가 지정된 것을 확인하기 위해 모든 것. 나는 거의 모든 재산에서이 경고를 받았다. 내가 다른 게시물을 읽고 난 그냥 수동으로 @synthesis blahBlah을 추가 할 수 있습니다 이해 "자동 속성 합성 명시 적으로 합성되지 속성을 합성한다"; 모든 재산에 대해 그것은 자동 합성의 전체 요점을 무너 뜨리는 것처럼 보입니다. 언제나

    1

    1답변

    VDHL과 Verilog을 혼합하는 동안 대소 문자를 구분하는 문제가 발생했습니다. 매개 변수 "APB_ADDR"은 대문자로 표시하고 "apb_addr"은 소문자로 씁니다. Verilog는 대소 문자를 구별하므로 두 표현식이 다를 수 있습니다. module verilog_module #( ... parameter APB_ADDR = 32, ...

    0

    1답변

    포지티브 에지 클록 및 액티브 로우 리셋으로 FF를 합성하고 싶습니다. 나는 다음의 Verilog 코드 작성 : module dff_rstL (q,qn,clk,d, clearL); input clk,d, clearL ; output q,qn; reg q; always @(posedge clk or negedge clearL) //asynchron