xilinx

    3

    1답변

    BRAM을 사용하여 데이터를 저장/읽기 위해 IP를 쓰고 싶습니다. 내가 지금까지 RAM으로 메모리 매핑 된 데이터를 읽고 AXIS를 얻으려면 (C) DMA를 사용하고있다. 그런 다음 VHDL에 새로운 소스 파일을 만들어서 AXIS를 매력적으로 활용했습니다. 반대쪽에서 BRAM 인터페이스를 만들고 싶지만 vivado는 BRAM 인터페이스 용 포트를 결합하지

    1

    1답변

    개발중인 임베디드 ARM FPGA 시스템에서 자일링스 인터럽트 컨트롤러 드라이버를 사용하려고합니다. IRQCHIP_DECLARE(xilinx_intc_xps, "xlnx,xps-intc-1.00.a", xilinx_intc_of_init); 내가 인터럽트 컨트롤러에 대한 내 장치 트리에서 항목을 추가 한 : (https://github.com/torvald

    2

    2답변

    난 회로 래치는 다음과 같은 회로 VHDL 코드에서 S와 R이 둘 다 '0'일 때 진동하는 것을 알게되었습니다. 여기 는 SRLATCH library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity SRLATCH_VHDL is port( S : in STD_LOGIC; R : in STD_LOGIC;

    0

    1답변

    내가 https://reference.digilentinc.com/reference/programmable-logic/nexys-2/start에서 RS232 인터페이스 참조 구성 요소를 사용하고 하고있는 예제 코드 : 위의 코드에 기초 --------------------------------------------------------------------

    0

    1답변

    실제 DCM 구현에서 잘 작동하는 다른 클록 출력을 가진 DCM을 가지고 있지만 자일링스 ISIM에서이 DCM을 시뮬레이션하는 동안 입력을 볼 수 없었다. ISIM 테스트 벤치에서 DCM을 시뮬레이션 할 수 있습니까? 어떤 트릭이 있습니까? 감사합니다.

    -1

    1답변

    사용자 정의 zynq 보드 (MYC-C7Z010/20 CPU 모듈)를 가지고 있고 원래 u-boot + Linux 3.15.0을 성공적으로 컴파일 했으므로 정상적으로 작동합니다. 하지만이 자식 저장소 https://github.com/Xilinx/linux-xlnx으로 커널을 업데이트하려고합니다. 내 보드 defconfig 파일을 kernel/arch/ar

    1

    1답변

    대기 시간을 33000 사이클 및 시작 간격을 8로 표시하는 SDAccel 디자인이 있습니다. 이것은 무엇을 의미합니까? 출력이 33000주기 후에 준비된다는 것을 의미합니까? 필자는 출력에 소요 된 실제 시간 (프로필 요약 보고서)을 확인했으며 319ms를 보여줍니다. (클럭은 5ns 임)

    0

    1답변

    Zynq Ultrascale + (보드 ZCU102 rev 1)에서 Linux 기반 OS를 부팅하고 here에 설명 된대로 커널을 구성하고 Vivado SDK 2017.2에서 자동으로 생성 된 장치 트리 및 표시 다음 : 당신이 실행하면, macb ff0e0000.ethernet eth0: Could not attach to PHY 과 : gem0: [

    1

    1답변

    Yocto에 새로 워서. Xilinx Zynq 용 이미지를 만들려고합니다. https://github.com/Xilinx/meta-petalinux에 대한 안내를 따르십시오. 모든 것을 필요로하지 않으므로 일부 레이어가 제거되었습니다. 여기 $ bitbake petalinux-image ERROR: OE-core's config sanity checker

    0

    1답변

    PYNQ-Z1에 연결하려고합니다. 문서에서 언급 한대로 각 단계를 정확하게 따라 왔지만 여전히 브라우저가 192.168.2.99:9090에 액세스하려고하면 페이지가 작동하지 않는다고 알려줍니다. 직접 연결을 사용하여 보드를 연결했습니다. 저는 mac을 사용하므로 dd 명령을 사용하여 SD 카드에 이미지를 작성했습니다. 완료 표시등이 켜져 있습니다. 네트워킹