알테라 DE2-115 FPGA 용 최신 버전 Linux from Altera을 만들었습니다. 파일 시스템으로 인해 부팅되지 않습니다. 내가 뭘해야하는지 알지? 나는 그것이 make menuconfig의 약간의 옵션이다라고 생각한다. 그러나 나는 무엇을해야하는지에 관해 모른다. Linux version 4.9.0-00104-g84d4f8a-dirty ([em
나는 이미 어려움을 겪고 있으며 간단하고 유용한 해결책을 찾을 수 없습니다. I는 16 비트 크기 삼각형 신호를 시뮬레이션 값 1과 0에 랜덤 잡음을 부가하고자 -1. 노이즈가있는 신호는 원래의 노이즈와 1을 초과하지 않으며 노이즈가있는 신호의 이전 값과 다를 수 없다는 점이 중요합니다. 예를 들어, 그 방법으로 값 싶습니다 ORIGINAL SIGNAL :
사과 이것은 간단한 질문이지만 일부 코드에서 외삽하려고 시도하고 있으며 몇 가지 상수 값을 찾기 위해 고심하고 있습니다. 다음은 16 진수 값으로 상수를 정의하는 관련 코드입니다. assign c = 18'sh3_8000; //-0.5 table value (50) times 0.01
assign d = 18'sh0_051E; // 0.02 table v
내 PRN 생성기가 작동하지 않습니다. 나는 선형 피드백 시프트 레지스터로 그것을하고 싶다. 시뮬레이션 및 컴파일이 문제없이 작동하지만 출력이 잘못되었습니다 (lfsr_out = '0'). 변경되지 않습니다. 코드 : library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
main.v 및 signal.v의 두 모듈이 있습니다. main.v에는 16 비트 reg tx를 구형파에 해당하는 값으로 업데이트하는 몇 줄의 코드가 있습니다. reg [1:0] counter;
reg [15:0] tx;
always @(posedge clk) begin
counter = counter + 1;
if
자일링스 ISE 14.7 신시사이저를 사용하고 있습니다. .coe 파일로 BRAM을 초기화하고 액세스 할 수 있습니다. 또한 data2mem 도구를 사용하여 새 .mem 파일로 업데이트하고 내 비트 파일을 업데이트 할 수 있습니다. 여기서는 ROM으로 구성했습니다. 제 문제는 파일에 BRAM 내용을 저장하는 방법을 모르겠다는 것입니다. 코어 생성기에서 단일
avalon 메모리 맵핑 된 인터페이스를 통해 count_x 32 비트의 데이터를 HPS에 가져와야합니다. 1 차 및 2 차 케이스가 잘 작동했습니다. HPS에 데이터가 있습니다. 하지만 3 번째 경우 WHEN '2'=> avs_s0_readdata < = count_x (31 downto 0);으로 '2'이 (가) 선언되지 않았습니다. 무슨 뜻입니까? V
이것은 내가 물었던 별도의 질문에서 벗어났습니다. 나는 내가하고 싶은 일과 좋아하지 않는 것에 대해 더 깊이 설명 할 것이다. 이것은 학교 프로젝트이므로 표준을 따를 필요가 없습니다. 나는 SIMON 게임을 만들려고합니다. 바로 지금, 내가하려고하는 것은 레벨을위한 스위치 케이스를 사용하고 각 레벨은 더 빠름 (따라서 다른 주파수 디바이더)으로되어있다. 첫
모두 하락과 상승 에지를 사용하여 나는 다음과 같이 FPGA & VHDL 초보자가 .. 내 개발 환경입니다입니다. FPGA : 스파르탄 6 XC6SLX9 컴파일러 : ISE 14.04 시뮬레이터 : ISIM 나는 간단한 카운터를 만들고 있어요,하지만 난 이해할 수없는 몇 가지가 있습니다. 다음 코드는 내가 작성한 코드입니다. 내가 예상했던 것은 w_coun